FreeRTOS FSBL

From ift
Revision as of 13:46, 28 November 2017 by Yag005 (talk | contribs)

Tested on Xilinx Vivado/SDK 2017.3, Ubuntu 16.04 LTS.


This tutorial assumes you have completed the "Creating example project with AXI4 Lite peripheral in Xilinx Vivado"-tutorial.


Exporting hardware bitstream

After the bitstream was generated in the previous tutorial, it is now possible to export it. Goto: File -> Export -> Export Hardware.. Make sure to include bitstream, and press "OK".

Export hardware.png


Next, goto: File -> Launch SDK. The following window will appear:

Launch SDK.png

Press "OK".